一、EDA基本流程学习路径
2.1首先搭建一套LSF集群或者紫芯集群、NFS、NIS
2.2 安装图形化界面
yum install epel-release -y
yum clean all && yum makecache
但通常桌面环境需要安装完整的组件组,推荐用 groupinstall 安装整个 MATE 桌面环境(更全面):
yum groupinstall “MATE Desktop” -y
yum install xorg-x11-server-Xorg -y
yum install xorg-x11-server-utils xorg-x11-drivers xorg-x11-utils -y
ls -l /usr/bin/X
Linux 系统默认有两种启动模式:
multi-user.target:命令行模式(无图形)graphical.target:图形界面模式
需要将默认模式切换为图形界面,执行以下命令:
# 查看当前默认启动模式 systemctl get-default # 设置默认启动为图形界面 systemctl set-default graphical.target
2.2.1启动显示管理器(登录界面服务)
图形界面需要显示管理器(Display Manager)来提供登录界面,MATE 桌面常用的显示管理器是 lightdm 或 gdm(通常会随桌面环境自动安装)。
# 查看是否安装了lightdm(MATE常用)
rpm -qa | grep lightdm
#如果已安装,启动并设置开机自启
systemctl start lightdm systemctl enable lightdm
如果 lightdm 未安装(极少情况),可以安装并启动:
yum install lightdm -y systemctl start lightdm systemctl enable lightdm
2.2.2启动图形界面(两种方式)
方式 1:临时启动(无需重启)
如果当前在命令行模式,直接执行以下命令临时进入图形界面:
startx
(首次启动可能需要等待几秒,加载桌面组件)
方式 2:重启系统(推荐)
让默认启动模式生效,重启后直接进入图形界面:
reboot
2.2.3常见问题解决
启动后黑屏 / 无响应:可能是显卡驱动不兼容,尝试安装对应显卡驱动(如 NVIDIA/AMD 专有驱动),或临时用通用驱动:
yum install xorg-x11-drv-vesa -y # 通用VESA驱动
没有登录界面:检查显示管理器状态,重启服务并查看日志:
systemctl status lightdm # 查看状态 journalctl -u lightdm # 查看错误日志
想切回命令行模式:临时切换:按 Ctrl+Alt+F2(F2-F6 都是命令行终端,F1 是图形界面);永久切换默认模式:systemctl set-default multi-user.target。
完成以上配置后,系统会默认启动到 MATE 图形界面,通过登录界面输入用户名密码即可进入桌面。
2.3用云平台上的远程链接,或者VNC、ETX等远程工具进行连接
由于商业EDA工具license费用昂贵,我们先用开源工具链来搭建学习环境,理解基本概念。
2.3.1:基于开源工具的完整学习环境
工具栈选择:
仿真:Icarus Verilog 或 Verilator综合:Yosys布局布线:OpenROAD物理验证:Magic
安装步骤(Ubuntu/CentOS):本文以centos7.9为例
bash
# 1. 安装基础依赖
sudo apt update sudo
apt install -y build-essential clang bison flex libreadline-dev gawk tcl-dev libffi-dev git graphviz xdot pkg-config python3 python3-pip
# 2. 安装Icarus Verilog(仿真)
yum install iverilog gtkwave -y iverilog -v #验证安装 测试 Icarus Verilog 功能 安装完成后,可通过一个简单的 Verilog 程序测试:
创建测试文件(如 hello.v):
module hello; initial begin $display(“Hello, Icarus Verilog!”); $finish; end endmodule

编译生成仿真文件: iverilog -o hello hello.v
运行 vvp hello
预期输出: Hello, Icarus Verilog!
# 3. 安装Yosys(综合)
yum install -y scl-utils
yum install -y centos-release-scl
git clone https://github.com/YosysHQ/yosys
cd yosys
make make install
# 4. 安装GTKWave(波形查看)
yum install -y gtkwave
# 5. 安装OpenROAD(后端工具) git clone –recursive https://github.com/The-OpenROAD-Project/OpenROAD
cd OpenROAD
sudo ./etc/DependencyInstaller.sh
mkdir build
cd build
cmake ..
make
2.3.2:商业EDA工具学习环境(如有权限)
如果您有教育版license或公司测试环境:
2.4部署License服务器实战
以Synopsys FlexNet为例:
2.4.1.准备环境
bash
# 创建专用用户和目录
sudo useradd -m -d /opt/flexlm -s /bin/bash flexlm
sudo mkdir -p /opt/flexlm/licenses
sudo chown -R flexlm:flexlm /opt/flexlm
2.4.2.安装FlexNet License Manager
bash
# 下载Synopsys Installer(需要账号) # 执行安装
./SynopsysInstaller_v5.0.run
# 安装License管理工具
tar -xzf scl_keygen_*.tar.gz -C /opt/flexlm/
2.4.3 配置License文件
创建/opt/flexlm/licenses/synopsys.dat:
text
SERVER your_hostname ANY 27000
VENDOR snpslmd /opt/flexlm/snpslmd
USE_SERVER
FEATURE SSS snpslmd 1.0 permanent uncounted
HOSTID=ID=012345678901
2.4.4 启动License服务
bash
# 启动lmgrd /opt/flexlm/scl/2018.06/linux64/bin/lmgrd -c /opt/flexlm/licenses/synopsys.dat -l /opt/flexlm/licenses/debug.log
2.4.5.验证License
bash
/opt/flexlm/scl/2018.06/linux64/bin/lmstat -c /opt/flexlm/licenses/synopsys.dat
















暂无评论内容